- 1、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
计数器及数码显示综合设计(二)
北 华 航 天 工 业 学 院 综合实践总结报告 综合实践名称: EDA技术与实践 计数器及数码显示综合设计(二) 综合实践地点、时间 教二EDA实验室 11-12-2学期第12~15周 专业班级: 10241 姓名: 学号: 201030241 同组人员: 指导教师姓名: 薛瑞 完 成 时 间: 2012 年 5 月 日 目 录 课程设计概述 方案设计与论证 综合实践的目的 综合实践理论基础和核心内容 五、综合实践具体内容和记录(图、表或程序等) 六、综合实践所需仪器设备 七、参考资料 八、心得体会 一、课程设计概述 对可编程器件FLEX10k84_3编程下载十二进制和六十进制可逆计数器分时显示及译码电路模块的顶层文件,根据分配的引脚连接硬件电路。硬件电路中由四个数码管来分时显示十二进制、六十进制可逆计数器的计数脉冲。将使能端、清零端分别接三个开关控制计数的启停、清零、六十进制可逆计数器的加减。位选信号的频率5MHZ实现数码管显示不闪烁,计数脉冲的频率较小为1.25HZ。实验中的数码管是共阴极由三—八译码器来输出低电平控制数码管的公共端使数码管可以显示计数脉冲。用了三个数码管对应实验箱上的6 7 8三个第6个显示的是十二进制的计数器 第七个显示六十进制的高位 第八个显示六十进制的低位 二、方案设计与论证 本次设计中将任务分成几个部来完成分别是:十二进制计数器、六十进制可逆计数器、译码部分、时间扫描模块和顶层文件 十二进制计数器:在这个部分中要实现带使能输入、同步清0的增1十二进制的计数器计数功能。使能端en低电平有效计数器开始计数,高电平停止计数,清零端rst高电平清零低电平正常计数。 六十进制可逆计数器:在这个部分中要实现带使能输入、同步清0的增1六十进制的可逆计数器计数功能。计数部分分为计数的个位和十位,使能端en低电平有效计数器开始计数,高电平停止计数,清零端rst高电平清零低电平计数,可逆计数器的控制端up_down低电平实现加法计数器的功能,高电平实现减法计数器的功能。 分时扫描及译码部分:在这个部分需设计一个共阴7段数码管控制接口,在时钟信号的控制下,分时选择数码管显示相应计数器的计数脉冲,使4位数码管动态刷新显示两个计数器的计数结果,并将送来的计数器的计算值转换为相应的段码在数码管上显示计数脉冲的个数。 顶层文件:在这一部分确定上述几个部分中相应输入输出端口的连接关系。 三、综合实践的目的 1.熟悉MAX+PLUSⅡ工具软件 2.熟悉VHDL语言设计 3.学习EDA课程的综合设计 4.学习计数器的VHDL语言设计 5.了解器件编程和下载及硬件接口连接. 四、综合实践理论基础和核心内容 1. 学习EDA开发软件和MAX+PLUSⅡ的使用方法,熟悉可编程逻辑器件的使用。 2. 根据系统设设计要求,系统的底层设计主要有十二进制计数器模块,六十进制可逆计数器模块,时间扫描模块,七段译码显示模块, 3. 顶层设计 五、综合实践具体内容和记录(图、表或程序等) 5.1十二进制计数器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter12 is port(clk,en,rst:in std_logic; q12l,q12h:out std_logic_vector(3 downto 0)); end counter12; architecture fun of counter12 is signal q11,q22:std_logic_vector(3 downto 0); begin process(clk) begin if clkevent and clk=1
您可能关注的文档
最近下载
- 北师大版六年级上册数学《百分数的认识》(课件)(共17张PPT).pptx VIP
- 2025村委换届考试题及答案.docx VIP
- 2024《小红书运动户外行业白皮书》.docx
- 推荐浙江省土建安全技术资料台帐(十二本).doc VIP
- 肠套叠护理PPT课件讲解PPT课件.pptx VIP
- (沪教版2024)二年级数学上册新教材解读课件.pptx
- 小儿肛管排气.pptx VIP
- 新解读《GB_T 5271.9 - 2001信息技术 词汇 第9部分_数据通信》必威体育精装版解读.docx VIP
- 新解读《GB_T 18492-2001信息技术 系统及软件完整性级别》必威体育精装版解读.docx VIP
- PPT灌肠操作及并发症处理.pptx VIP
文档评论(0)