- 1、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
液晶显示驱动电路的设计与实现(4课时)
实验六 液晶显示驱动电路的设计与实现(4课时) 实验目的 1.熟练掌握设计电路下载到芯片的关键设置与基本步骤和利用实验箱上的输入信号和输出显示器件在线测试设计电路的方法。 2.熟悉液晶显示的原理,了解液晶显示器件的性能特点、分类参数。掌握液晶显示器件的接口时序与通讯格式。 3.掌握利用VHDL语言设计液晶显示器件驱动电路的方法。 实验原理 1.中文液晶显示模块(OCMJ128X32)的引脚说明 引脚 名称 方向 说明 其它 1 VLED+ I 背光电源正极(LED+5v) 2 VLED- I 背光电源负极(LED-5v) 3 VSS I 地 4 VDD I (+5v) 5 REQ I 请求信号,高电平有效。 6 BUSY O 应答信号=1:已经收到数据并正在处理中 =0:模块空闲,可以接受数据 7-14 DB0~DB7 I 数据0~数据7 2.接口时序说明 编号 名称 单位 最小值 最大值 说明 1 Tr uS 0.4 - 数据线上数据稳定时间 2 Tb uS 2 20 最大模块响应时间 3 Trt uS 11 - 最小REQ保持时间 4 Ts1 uS 20 45 最大数据接收时间 5 Ts2 uS - 0.1-30 最大命令指令处理时间 3.用户命令格式 (1)实验用液晶显示器模块(OCMJ)命令帧分为操作码及操作数两部分。 (2)字符命令:1、显示国标汉字,2、显示8X8ASCII字符,3、显示8X16ASCII字符 图形显示命令:4、显示位点阵,5、显示字节点阵 屏幕控制命令:6、清屏,7、上移,8、下移、9、左移、10、右移 (3)命令帧 显示国标汉字 命令格式:F0 XX YY QQ WW XX:为以汉字为单位的屏幕行坐标值,取值范围00到07。 YY:为以汉字为单位的屏幕列坐标值,取值范围00到01。 QQ WW:坐标位置上要显示的GB2310汉字区位码。 4.其它未尽内容请参见实验指导书(P188-P200) 实验内容与要求 1.设计一个液晶显示驱动电路,要求能够显示两行不少于14个字的汉字字符,且具有清屏、左移、上移功能。显示内容自定。(6分) 2.设计一个液晶显示驱动电路,要求能够显示至少两个周期的三角波形,并显示“T=30mS”的字样。(4分) 3.在上述2的基础上增加显示方波的功能,且可通过按键实现三角波和方波的切换显示(3)。 1. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity zjf1 is port(clk: in std_logic; clear: in std_logic; --清零命令 left: in std_logic; --左移命令 right: in std_logic; --右移命令 busy: in std_logic; --忙信号标志 data : out std_logic_vector(7 downto 0); --8位数据输出 req : out std_logic); --请求信号 end entity zjf1; architecture art of zjf1 is signal s:std_logic_vector(31 downto 0); --汉字高位和低位的区位码 signal n:std_logic_vector(3 downto 0); --显示十六个汉字的选择信号 signal count:std_logic_vector(2 downto 0); --五个字节命令的计数器 signal sel:std_logic; --显示十六个汉字的进位时钟信号 signal gateclock:std_logic; --5个字节命令的计数器时钟信号 signal scanclk:std_logic;
您可能关注的文档
最近下载
- 2022小学语文新课标测试题库及答案.pdf VIP
- 初中生积极心理品质培育策略与实践研究.docx VIP
- 投标服务承诺书(20篇).docx VIP
- 七年级英语第一次月考卷(考试版A4)【测试范围:沪教版五四学制2024 Starter~Unit 1】(上海专用).docx VIP
- 李白按年龄顺序写的诗.docx VIP
- 2025年四川省高考化学试卷真题(含答案解析).docx
- 高频精选:京东快递员ai面试题及答案.doc VIP
- 高频精选:京东快递员ai面试题及答案大全.doc VIP
- 吉林省中药软片炮制规范.pptx VIP
- 名著阅读《湘行散记》七年级语文上册部编版(共9页).docx VIP
文档评论(0)