24小时计数器.docVIP

  1. 1、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
24小时计数器

小时计时 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity hour24 is port(clk,reset: in std_logic; up: out std_logic; bcd_l: out std_logic_vector(3 downto 0); bcd_h: out std_logic_vector(3 downto 0)); end hour24; architecture one of hour24 is signal bcd_l_r: std_logic_vector(3 downto 0); signal bcd_h_r: std_logic_vector(3 downto 0); signal upxs: std_logic; begin process(clk,reset) begin if reset=0 then bcd_l_r=0000;bcd_h_r=0000; upxs=0; else if clkevent and clk=1 then if(bcd_h_r=0010 and bcd_l_r=0011) then bcd_h_r=0000; bcd_l_r=0000; upxs=1; else if (bcd_l_r(3 downto 0)=1001) then bcd_l_r(3 downto 0)=0000; bcd_h_r(3 downto 0)=bcd_h_r(3 downto 0)+1; upxs=0; else bcd_l_r(3 downto 0)=bcd_l_r(3 downto 0)+1; upxs=0; end if; end if; end if; end if; end process; bcd_l=bcd_l_r; bcd_h=bcd_h_r; up=upxs; end one; 分计时 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity fen60 is port(clk,reset: in std_logic; up: out std_logic; bcd_l: out std_logic_vector(3 downto 0); bcd_h: out std_logic_vector(3 downto 0)); end fen60; architecture one of fen60 is signal bcd_l_r: std_logic_vector(3 downto 0); signal bcd_h_r: std_logic_vector(3 downto 0); signal upxs: std_logic; begin process(clk,reset) begin if reset=0 then bcd_l_r=0000;bcd_h_r=0000; upxs=0; else if clkevent and clk=1 then if(bcd_h_r=0101 and bcd_l_r=1001) then bcd_h_r=0000; bcd_l_r=0000; upxs=1; else if (bcd_l_r(3 downto 0)=1001) then bcd_l_r(3 downto 0)=0000; bcd_h_r(3 downto 0)=bcd_h_r(3 downto 0)+1; upxs=0; else bcd_l_r(3 downto 0)=bcd_l_r(3 downto 0)+1; upxs=0; end if; end if; end if; end if; end process; bcd_l=bcd_l_r; bcd_h=bcd_h_r; up=upxs; end one; 秒计时 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arit

文档评论(0)

baoyue + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档