- 1、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
电 子 实 验 报 告 实验名称 基于FPGA板的秒表设计 学号 2905202021 姓名 代福胜 指导老师 李希老师 日期 年 月 日 一,实验原理 二,各模块程序与仿真结果图。 1,分频器, 将晶振的48MHz频率分频为电子秒表的最小分辨频率,周期0.01S。同时在译码部分需要一个1KHz的扫描信号,所以分频器的功能就是产生一个100Hz信号和1kHz信号。 源代码如下: module divclk(clk,clk_100,clk_1k); input clk; output reg clk_100,clk_1k; reg [29:0] counter1,counter2; initial begin counter1=0;counter2=0;clk_100=0;clk_1k=0;end always @(posedge clk) begin if(counter1==240000) begin counter1=0;clk_100=~clk_100;end else begin counter1=counter1+1;end if(counter2==24000) begin counter2=0;clk_1k=~clk_1k;end else begin counter2=counter2+1;end end endmodule 仿真结果 2,计数器 秒表计数器和一般计数器不同的是进制,在0.01s__0.99s时,都是十进制的,而到了秒和分的时候,十位秒和个位秒是十进制,秒和分之间则为六十进制所以在进位时注意区分。 秒表有两个按键,一个复位按键reset,一个run/stop 按键,reset按键比较好处理,因为是点触式,可以检测其下降沿部位(低电平有效),当硬件检测到,说明是有按键按下。而run/stop按键则为,按下一次这个键,秒表run和stop切换一次可定义一个信号寄存器,在检测到这个按键按下时,自身在0和1之间翻转一次,1表示run,0表示stop。我们知道,在按键按下一次时会有如下的毛刺信号,这个毛刺信号持续时间虽然只有1-3ms,但是这对于硬件来说,还是很长的,最关键的是,会产生很多个下降沿和电平触发。所以必须对其进行处理,否则在按键按下一次后,run/stop会反转多次。消抖方法分为硬件消抖和软件延时消抖。在FPGA中可以定义三个D触发器,进行硬件3ms消抖(时间可以根据实际情况而定), 消抖原理 always @(posedge clk_1k) //以1kHz扫描信号,进行定时 begin dout1 = reset; dout2 = dout1; dout3 = dout2; clr =(dout1 | dout2 | dout3); end 在三个每隔1ms的点上取三个值,如果这三个值都是低电平,则说明下降沿来了。 电路模块 源程序代码 module counter(clk_100,clk_1k,reset,key,cnte0,cnte1,cnte2,cnte3,cnte4,cnte5); input clk_100,clk_1k,reset,key; output reg [3:0] cnte0,cnte1,cnte2,cnte3,cnte4,cnte5; reg key_out,run; reg clr,dout1,dout2,dout3,do1,do2,do3; initial begin cnte0=0;cnte1=0;cnte2=0;cnte3=0;cnte4=0;cnte5=0;run=1; clr=1;dout1=1;dout2=1;dout3=1;do1=1;do2=1;do3=1;key_out=0; end always @(posedge clk_1k) begin dout1 = reset;do1 = key; dout2 = dout1;do2 = do1; dout3 = dout2;do3 = do2; end always @(negedge clk_1k) begin clr =(dout1 | dout2 | dout3 | run);//这里可以保证在秒表计时过程中不被清零 key_out=(do1 | do2 | do3); end always @(posedge key_out) begin run=~run;end always @(posedge clk_100) begin if(
您可能关注的文档
最近下载
- 2025年医学伦理学考试重点试题(附答案).docx VIP
- 国家心力衰竭指南 2023(精简版)(下).pptx VIP
- 国家心力衰竭指南 2023(精简版)(上).pptx VIP
- 2025年医学伦理学题库试题及答案+专家解析.docx VIP
- 2025年临床医学伦理学考核试题及答案.docx VIP
- “新质生产力”系列专题-十-:耐心资本赋能新质生产力发展-国信证券.pptx VIP
- 国家心力衰竭指南2023.pptx VIP
- 教学中的科研伦理道德规范教育PPT.ppt VIP
- TB10601-2024高速铁路工程测量规范.pptx VIP
- 药物研发必威体育精装版进展总结.pptx VIP
有哪些信誉好的足球投注网站
文档评论(0)