- 1、本文档共14页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
实验一、半加器与全加器的电路图实现
半加器
1、半加器的电路图——见图1
图1——半加器的电路图
2、半加器仿真波形图——见图2
图2——半加器仿真波形图
3、仿真图简析:如图2,为半加器仿真波形图。对应a,b输入波形,得到的c为进位,s为和。如a=1,b=0,c=0,s=1.
4、半加器的VHDL语言为:
library ieee;
use ieee.std_logic_1164.all;
entity tx23p31 is
port (a,b:in std_logic;
co,so:out std_logic);
end entity tx23p31;
architecture a of tx23p31 is
begin
so= a xor b;
co= a and b;
end;
全加器
1、全加器的电路图——见图3
图3——全加器的电路图
2、全加器的VHDL语言
library ieee;
use ieee.std_logic_1164.all;
entity tx23p3 is
port (ain,bin,cin:in std_logic;
cout,sum:out std_logic);
end entity tx23p3;
architecture a of tx23p3 is
component tx23p31
port(a,b:in std_logic;
co,so: out std_logic);
end component;
signal d,e,f:std_logic;
begin
u1:tx23p31 port map (a=ain,b=bin,co=d,so=e);
u2:tx23p31 port map (a=e,b=cin,co=f,so=sum);
cout=d or f;
end;
3、全加器的RTL viewer:见图4
图4——全加器的RTL viewer
实验二、函数发生器
1、电路图——见图5
图5——函数发生器的实验电路图
2、函数发生器的仿真波形图——见图6
图6——函数发生器的仿真波形图
3、波形图仿真分析:如上图,当a,b,c的取值为0,4,6,7时,f=1;其他时刻,f=0。如第一列波形,a=0,b=0,c=0,此时f=1.
实验三、四选一数据选择器
library ieee;
use ieee.std_logic_1164.all;
entity tx23w1 is
port(a:in std_logic_vector(1 downto 0);
d:in std_logic_vector(3 downto 0);
y:out std_logic);
end tx23w1;
architecture mux4 of tx23w1 is
begin
process(a,d)
begin
case a is
when 00 = y=d(0);
when 01 = y=d(1);
when 10 = y=d(2);
when 11 = y=d(3);
when others=y=0;
end case;
end process;
end mux4;
图7——四选一数据选择器波形图
实验四、裁判器
library ieee;
use ieee.std_logic_1164.all;
entity tx23w2 is
port(a:in std_logic_vector(2 downto 0);
y:out std_logic_vector(1 downto 0));
end tx23w2;
architecture mux8 of tx23w2 is
begin
process(a)
begin
case a is
when 000 = y= 00;
when 001 = y= 00;
when 010 = y= 00;
when 011 = y= 10;
when 100 = y= 10;
when 101 = y= 11;
when 110 = y= 11;
when 111 = y= 11;
when others= y=00;
end case;
end process;
end mux8;
图8——裁判表决器
实验五、50M分频器
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity tx23w3 is
port (
您可能关注的文档
- 线性表实验报告_8.doc
- 会计模拟实验报告赵瑶.doc
- 关于电脑、苹果以及数码相框的市场调查报告1.doc
- 机械厂实习报告_2.doc
- 实训一电控柴油机的认识.doc
- 环境监测综合性实验 (校园空气质量报告).doc
- c语言课程设计报告_6.doc
- 三下乡调研报告_2.doc
- 4.1尊重他人_4完整版.ppt
- 塑料模具课程设计文本格式.doc
- 2024-2025学年度重庆长寿一中7年级数学下册变量之间的关系综合训练练习题(详解).docx
- 2024-2025学年度重庆长寿一中7年级数学下册第五章生活中的轴对称综合测试试卷(含答案详解).docx
- 虚拟偶像与社交平台2025年运营模式创新研究报告.docx
- 2025年工业互联网平台工业APP开发与生态系统构建策略研究.docx
- 2025年乡村数字经济在农村教育信息化与教育质量提升中的应用分析报告.docx
- 2024-2025学年度重庆长寿一中7年级数学下册变量之间的关系专题测试试题(含答案解析).docx
- 新型复合材料在先进制造技术中的应用与挑战分析.docx
- 2024-2025学年度重庆长寿一中7年级数学下册变量之间的关系专项测试试卷(含答案详解).docx
- 2024-2025学年度重庆长寿一中7年级数学下册变量之间的关系专项测试试卷(含答案详解).docx
- 2025年钢铁行业产能过剩化解与市场需求变化分析报告.docx
最近下载
- 患者入院评估课件.ppt VIP
- 护理不良事件经典案例.docx VIP
- 《行政执法证据规则》课件.pptx VIP
- 2024北京高考地理真题地球上的水及其运动专题复习练习题.docx
- 【中医大全】药性赋白话讲记寒性药曾培杰.pdf
- AP世界历史 2022年真题 附答案和评分标准 AP World History 2022 Real Exam with Answers and Scoring Guidelines.pdf VIP
- 11月护理不良事件案例分析全解.doc
- AP宏观经济学 2022年真题 附答案和评分标准 AP Macroeconomics 2022 Real Exam with Answers and Scoring Guidelines.pdf VIP
- vsd负压引流的护理要点.pptx VIP
- 股骨干骨折的护理.pptx VIP
文档评论(0)