FPGA硬件电子琴对应程序.docVIP

  1. 1、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
FPGA硬件电子琴对应程序

Cot——pro的程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_Arith.ALL; USE IEEE.STD_LOGIC_Unsigned.ALL; ENTITY cot_pro IS Port ( clk_in:IN STD_LOGIC; cot_in:IN STD_LOGIC_VECTOR(11 DOWNTO 0); clk_out:OUT STD_LOGIC ); END; ARCHITECTURE Devider OF cot_pro IS SIGNAL Counter:INTEGER RANGE 0 TO 4095; SIGNAL cot_end:INTEGER RANGE 0 TO 4095; SIGNAL Temp:STD_LOGIC :=0; BEGIN cot_end = CONV_INTEGER (cot_in); PROCESS(clk_in) BEGIN IF RISING_EDGE(clk_in) THEN if cot_in=x000 then Temp=1; elsif Counter=cot_end-1 THEN counter=0; Temp=Not Temp; ELSE Counter=Counter+1; END IF; END IF; END PROCESS; clk_out=Temp; END; Key_c的程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_Arith.ALL; USE IEEE.STD_LOGIC_Unsigned.ALL; USE IEEE.NUMERIC_STD.ALL; entity key_c is port( key : in STD_LOGIC_VECTOR(7 downto 0); c : out STD_LOGIC_VECTOR(11 downto 0) ); end key_c; --}} End of automatically maintained section architecture rtl of key_c is signal c_r: std_logic_vector(11 downto 0); signal key_r: std_logic_vector(7 downto 0); begin key_r= key; process(key_r) begin if key_rthen c_r=x000; --25k 0 elsif key_rthen c_r=xfa9; --523.3 m1 elsif key_rthen c_r=xdf4; --587.3 m2 elsif key_rthen c_r=xbc9; --659.3 m3 elsif key_rthen c_r=xbbc; --698.5 m4 elsif key_rthen c_r=xa72; --784 m5 elsif key_rthen c_r=x94f; --880 m6 elsif key_rthen c_r=x84c; --987.8 m7 elsif key_rthen c_r=x7d6; --1045.5 h1 else c_r=x000; --25k 0 end if; end process; c=c_r; end rtl; Zhengfen的程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_Arith.ALL; USE IEEE.STD_LOGIC_Unsigned.ALL; ENTITY zhengfen IS Port (clk_in:IN STD_LOGIC; clk_out:OUT STD_LOGIC ); E

文档评论(0)

f8r9t5c + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

版权声明书
用户编号:8000054077000003

1亿VIP精品文档

相关文档