FPGA底层代码.docVIP

  1. 1、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
FPGA底层代码

1 正弦波的VHDL语言程序源代码 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity singenerator is port(clk4:in std_logic; dd4:out integer range 255 downto 0);———输出范围为0到255 end; architecture dacc of singenerator is signal q: integer range 63 downto 0; begin process(clk4) begin if (clk4event and clk4=1) then q=q+1; end if; end process; process(q) begin case q is when 00=dd4=255; when 01=dd4=254; when 02=dd4=253; when 03=dd4=250; when 04=dd4=245; when 05=dd4=240; when 06=dd4=234; when 07=dd4=226; when 08=dd4=218; when 09=dd4=208; when 10=dd4=198; when 11=dd4=188; when 12=dd4=176; when 13=dd4=165; when 14=dd4=152; when 15=dd4=140; when 16=dd4=128; when 17=dd4=115; when 18=dd4=103; when 19=dd4=90; when 20=dd4=79; when 21=dd4=67; when 22=dd4=57; when 23=dd4=47; when 24=dd4=37; when 25=dd4=29; when 26=dd4=21; when 27=dd4=15; when 28=dd4=10; when 29=dd4=5; when 30=dd4=2; when 31=dd4=1; when 32=dd4=0; when 33=dd4=1; when 34=dd4=2; when 35=dd4=5; when 36=dd4=10; when 37=dd4=15; when 38=dd4=21; when 39=dd4=29; when 40=dd4=37; when 41=dd4=47; when 42=dd4=57; when 43=dd4=67; when 44=dd4=79; when 45=dd4=90; when 46=dd4=103; when 47=dd4=115; when 48=dd4=128; when 49=dd4=140; when 50=dd4=165; when 51=dd4=176; when 52=dd4=188; when 53=dd4=198; when 54=dd4=208; when 55=dd4=218; when 56=dd4=226; when 57=dd4=234; when 58=dd4=240; when 59=dd4=245; when 60=dd4=250; when 61=dd4=253; when 62=dd4=254; when 63=dd4=255; when others=null; end case; end process; end architecture; 2 三角波VHDL语言程序源代码 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity triangelgenerator is port(clk3:in std_logic; dd3:out std_logic_vector(7 downto 0)); end triangelgenerator ; architecture art of triangelgenerator is signal b:std_logic; signal c:std_logic_vector(7 downto 0); begin process(clk3) begin if (clk3event and clk3=1) then if(b=0) then c=c+1; if(c=250) then b=1; end if; elsif(b=1) then c=c-1; if(c=1) then b=0; end if; end if;

文档评论(0)

didala + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档