1. 1、本文档共15页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
cpld第8讲

CPLD技术基础 ——基于QuartusⅡ的FPGA/CPLD设计 1、同步4位二进制计数器 功能描述: 具有异步清零和同步置数功能的4位二进制计数器。clk为时钟信号输入端,s为置数端,r为清零端,en为使能端,d为4位二进制预置数据端,q为4位二进制计数输出端,co为进位信号输出端。 1、同步4位二进制计数器 真值表: 1、同步4位二进制计数器 代码(1) : LIBRARY IEEE; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY test26 IS PORT (clk,r,s,en : IN std_logic; d : IN std_logic_vector(3 downto 0); co : out std_logic; q : buffer std_logic_vector(3 downto 0)); END test26; ARCHITECTURE one OF test26 IS BEGIN 1、同步4位二进制计数器 代码(2): PROCESS(clk,r,s,en,d) BEGIN if r=1 then q=(others=0); elsif clkevent and clk=1 then if s=1 then q=d; elsif en=1 then q=q+1; else q=q; end if; end if; end process; co=1 when q=1111 and en=1 else 0; end one; 2、同步24进制计数器 功能描述: 具有异步清零的24进制计数器。clk为时钟信号输入端,clr为清零端,one为个位计数输出端,ten为十位计数输出端,co为进位信号输出端。 2、同步24进制计数器 代码一(1): LIBRARY IEEE; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY test27 IS PORT (clk,clr : IN std_logic; ten,one : out std_logic_vector(3 downto 0); co : out std_logic); END test27; ARCHITECTURE one OF test27 IS signal ten_temp,one_temp:std_logic_vector(3 downto 0); BEGIN PROCESS(clk,clr) 2、同步24进制计数器 代码一(2): BEGIN if clr=1 then ten_temp=0000;one_temp=0000; elsif clkevent and clk=1 then if ten_temp=2 and one_temp=3 then ten_temp=0000;one_temp=0000; elsif one_temp=9 then one_temp=0000;ten_temp=ten_temp+1; else one_temp=one_temp+1; end if; end if; end process; ten=ten_temp;one=one_temp; co=1 when ten_temp=2 and one_temp=3 else 0; end one; 2、同步24进制计数器 代码二(1): LIBRARY IEEE; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY test27 IS PORT (clk,clr : IN std_logic; y : out std_logic_vector(4 downto 0); co : out std_logic); END test27; ARCHITECTURE one OF test27 IS signal y_temp:std_logic_vector(4 downto 0); BEGIN PROCESS(clk,clr) 2、同步24进制计数器 代码二(2): BEGIN if clr=1 then y_temp=00000; elsif clkevent and clk=1 then if y_temp=23 then y_temp=0000

文档评论(0)

xy88118 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档