网站大量收购独家精品文档,联系QQ:2885784924

电子音乐发生器soc实验报告.docx

  1. 1、本文档共17页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
电子音乐发生器soc实验报告

电子音乐发生器设计实验报告 实验要求2种电子音乐播放模式的自动循环播放。手动选择某一模式的循环播放。数码管显示该播放模式。工作原理1)简谱中音名所对应的频率(HZ)如下表所示音名频率音名频率音名频率低音1261.1中音1523.3高音11045.5低音2293.7中音2587.3高音21174.7低音3392.6中音3659.3高音31318.5低音4349.2中音4698.5高音41396.9低音5392中音5784高音51568低音6440中音6880高音61760低音7493.9中音7987.8高音71785.52)音阶频率的获得 由硬件实验装置的晶振频率经过适当的分频系数和输出音频整形(对称方波)就可以得到音阶频率。 为了使输出的频率为对称的方波,故在分频系数计算时要除以2,在最后输出到交流蜂鸣器前要进行将输出频率二分频恢复原音名频率。例如以10M为基准频率,要将低音3频率为392.6hz音频信号送出。 假设硬件实验的晶振频率为10M,则: 分频系数K=(10M/392.6)/2=12736将10M基准频率进行12736分频得到的是低音3频率的倍频信号392.6*2=592.4hz. 在输出到交流蜂鸣器前再将592.4hz进行2分频得到的是392.6hz低音3对称方波信号的频率。3)节拍控制器 乐曲节拍1拍定义为1秒,2/8拍为0.25秒以此类推,根据节拍将所编辑的音乐输出到交流蜂鸣器。一般以一首曲的最低节拍为基准节拍。基准节拍可通过分频电路获得。4)乐曲输入模块根据所选乐曲将简谱转换成频率信号,根据节拍输出乐曲频率信号。实验步骤初步设计:由设计流程图画出顶层原理图草稿。模块设计和调试:设计各个模块。对每一模块进行功能仿真,验证其逻辑或时序是否符合设计要求。总体设计调试:完成时钟设计顶层原理。根据所选芯片型号,定义LOG,进行设计实现调试。硬件验证:将程序通过数据电缆由电脑下载到芯片,在演示板上进行设计功能硬件验证。设计方框图 实验电路图实验程序 1)音频控制器ypkz2:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating---- any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;entity ypkz2 is Port ( clk : in STD_LOGIC; en : in STD_LOGIC; ch : in STD_LOGIC; btype : out STD_LOGIC_VECTOR (3 downto 0); ypfp : out STD_LOGIC_VECTOR (4 downto 0));end ypkz2;architecture folow of ypkz2 issignal a:integer range 0 to 334;signal c:integer range 0 to 334;signal b:std_logic_vector(1 downto 0);type memory is array(0 to 167) of integer range 0 to 21;signal ROM1: memory;signal ROM2: memory;beginROM1=(10,9,10,12,13,12,15,13, 12,10,12,12,12,12,13,13, 15,15,16,17,16,15,13,15, 12,12,12,12,0,0,0,0, 12,12,10,10,12,12,13,13, 15,15,16,17,15,13,12,12, 12,12,9,9,10,12,10,9, 8,6,8,8,8,8,0,0, 10,9,8,8,9,9,0,10, 12,12,13,15,13,13,12,12, 12,12,10,9,10,12,10,9, 8,9,6,6,6,6,8,8, 9,9,0,10,8,9,8,6, 8,6,5,5,5,5,0,0, 10,9,8,8,9,9,0,10, 12,12,13,15,13,13,12,12, 12,10,9,9,10,12,10,9, 8,9,6,6,6,6,8,8, 16,16,0,17,15,16,15,13, 12,13,15,17,16,15,13,15, 12,12,12,12,0,0,0,0);ROM2=( 17,17,0,1

您可能关注的文档

文档评论(0)

xy88118 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档