- 1、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
实验张阿伟
基于Quartus II的硬件描述语言电路设计
一、实验要求
基本要求 1: 由四个数码管显示的计时电路, 低两位按照 20 进制设计,高两位按照 11进制设计。
附加要求 1: 该计时电路具有校准功能,可以按 1Hz 频率校准高两位的显示,可以按 10Hz频率校准低两位的显示;
附加要求 2: 高两位的进制可以任意设置。(不需要从新编译电路)
附加要求 3:在计数到达某整点值时例如 0300 的时刻 (该值可以根据老师的要求设置),4盏 LED 灯一起按照 10Hz 闪烁 5 秒钟。
实验代码与器件显示
选择器的VHDL源文件
module xuanze(clk0,clk1,choose,clk);
input clk0;
input clk1;
input choose;
output clk;
reg clk;
always
if ( choose == 1b1 )
begin
clk = clk0;
end
else if ( choose == 1b0 )
begin
clk = clk1;
end
Endmodule
生成的器件:选择器
功能描述:
选择1kHz和10kHz其中一个作为输出信号
分频器的VHDL源文件
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity fenpin is
port(
clk:in std_logic;---------input clk 50MHz
clk_1Hz :out std_logic;
clk_10Hz :out std_logic);-------输出clk
end fenpin;
architecture fwm of fenpin is
constant m:integer:=2500000;
signal tmp1:std_logic;
signal tmp2:std_logic;
begin
process(clk)
variable cout1:integer:=0;
variable cout2:integer:=0;
begin
if clkevent and clk=1 then
cout1:=cout1+1;
cout2:=cout2+1;
if cout1=m*10 then tmp1=0;
elsif cout1m*20 then tmp1=1;
else cout1:=0;
end if;
if cout2=m then tmp2=0;
elsif cout2m*2 then tmp2=1;
else cout2:=0;
end if;
end if;
end process;
clk_1Hz=tmp1;
clk_10Hz=tmp2;
end fwm;
生成的器件:分频器
功能描述:
输入50MHz的时钟信号,经分频
器后输出频率为1Hz和10Hz的两个信号。
计数器的VHDL源文件(2个)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
entity djishu is
port
(
clk : in std_logic;
d1 : out std_logic_vector(3 downto 0);
d2 : out std_logic_vector(3 downto 0);
c : out std_logic
);
END djishu;
architecture fwm of djishu is
signal dat1:std_logic_vector(3 downto 0);
signal dat2:std_logic_vector(3 downto 0);
BEGIN
process(clk)
begin
if clkevent and clk = 1 then
if dat1 = 1001 then
dat1 =0000;
if dat2 = 0001 then
dat2 =0000;
c=1;
else
dat2=dat2+1;
c=0;
end if;
else
dat1=dat1+1;
c=0;
end if;
end if;
end
您可能关注的文档
- 大数据认知李德毅院士.ppt
- 大物刚体力学.ppt
- 大空间钢结构桁架檩条系统.pdf
- 大肠杆菌高密度发酵中乙酸代谢.ppt
- 大规模直流异步互联系统受端故障引发送端稳定破坏的机理分析屠竞哲.pdf
- 大豆制品防腐保鲜技术的研究进展.ppt
- 大豆翻译起始因子E干扰片段克隆及其RNAi载体构建.pdf
- 大豆高密度SNP标记遗传图谱构建.pdf
- 大跨度空间管桁架结构施工技术.doc
- 大跨度空间钢管桁架操作平台.doc
- 高职院校社会服务的三种实践模式探析——以一所卫生健康类高职院校为例.pdf
- DB1331T025.1—2022雄安新区工程建设关键质量指标体系: 建筑工程.docx
- DB1331_T 025.4-2022 雄安新区工程建设关键质量指标体系:合交通.docx
- DB13∕T 5519.7-2022 轨道交通 AFC 系统线网技术要求 第7部分:数据接口.docx
- 污水厂沉砂-初沉系统对无机砂的削减特征分析.pdf
- 重庆市城市轨道交通网络化运营客流特征分析.pdf
- 钢板-混凝土地下组合结构墙构型及应用分析.pdf
- 基于理化分析和机器学习研究低温气调对采后番荔枝冷害的影响.pdf
- 药物结合心理疗法治疗产后抑郁的疗效与安全性:系统评价与Meta分析.pdf
- 保健公司健身俱乐部点办法.doc
文档评论(0)