- 1、本文档共13页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
在实验箱上设计可调时钟
学号 25 分数
电子设计自动化
课程设计报告
目录
引 言 3
VHDL概述 3
第一章 4
1.1 课题简述 4
1.1.1 实训设备 4
1.1.2 设计任务 4
1.1.3 设计要求 4
1.1.4 设计目的 4
2.1 实验程序 5
2.1.1 时种程序 5
2.1.2 分钟程序 6
2.2.3 秒钟程序 8
2.2.4 扫描程序 9
第二章 12
2.1原理图 12
2.2 实验连线图 13
2.3 实验结果 13
总结 14
参考文献 15
引 言
VHDL概述
第一章
1.1 课题简述
1.1.1 实训设备
计算机设备系统、EDA实验箱。
1.1.2 设计任务
在实验箱上设计可调时钟。
2.1.1 时种程序
ibrary ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity zyy24 is
port(clk:in std_logic;
r:in std_logic_vector(1 downto 0);
D:in std_logic_vector(3 downto 0);
g23:out std_logic_vector(3 downto 0);
s23:out std_logic_vector(3 downto 0)
);
end zyy24;
architecture yang of zyy24 is
signal one_temp1,one_temp2:std_logic_vector(3 downto 0);
b
2.1.2 分钟程序
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity zyy60 is
port(clk:in std_logic;
r:in std_logic_vector(1 downto 0);
D:in std_logic_vector(3 downto 0);
co:out std_logic;
clock:out std_logic;
g60:out std_logic_vector(3 downto 0);
s60:out std_logic_vector(3 downto 0)
);
end zyy60;
architecture yang of zyy60 is
signal one_temp1,one_temp2:std_logic_vector(3 downto 0);
begin
process(clk)
begin
if(r=00) then
one_temp1=0000;
one_temp2=0000;
elsif(r=01) then
one_temp2=D;
elsif (r=10) then
one_temp1=D;
elsif(clkevent and clk=1) then
if (one_temp1=5 and one_temp2=9) then
one_temp1=0000;
one_temp2=0000;
elsif (one_temp2=9) then
one_temp2=0000;
one_temp1=one_temp1+1;
else
one_temp2=one_temp2+1;
end if;
end if;
end process;
g60=one_temp2;
s60=one_temp1;
co=1 when (one_temp1=5 and one_temp2=9) else 0;
clock=1 when (one_temp1=5 and one_temp2=9) else 0;
end yang;
2.2.3 秒钟程序
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity m60 is
port(clk:in std_logic;
r:in std_logic;
co:out std_logic;
g60:out std_logic_vector(3 downto 0);
s60:out std
您可能关注的文档
- 双电动机拖动直线振动筛设计.doc
- 双级斜齿轮减速器.doc
- 双轴拉伸试验平台的设计和实现.doc
- 双金属片级进模设计.doc
- 双轨移动平台.doc
- 双面落地式对头铣镗床.doc
- 发动机典型故障分析(发动机温度过高的故障原因分析).doc
- 发动机排气管的焊接.doc
- 发动机吊装、码盘系统设计.doc
- 双鸭山矿业集团岭东煤矿0.9Mta新井设计2.doc
- 小学信息技术应用中的网络编程与系统设计教学研究课题报告.docx
- 初中农业课程改革STEM教育视野下的农业科技创新教学研究课题报告.docx
- 职业中学学生职业生涯规划指导与生涯规划教育资源配置研究教学研究课题报告.docx
- 小学音乐虚拟实验教学中的音乐节奏感培养与声乐表演技巧探究教学研究课题报告.docx
- 2010-2023历年安徽省屯溪一中高三月考政治试卷(带解析).docx
- 2010-2023历年安徽省巢湖一中高一上学期期中考试地理试卷(带解析).docx
- 初中地理课堂中基于小组合作的人口迁移影响研究与实践教学研究课题报告.docx
- 初中地理实践中的地图解读与地理现象分析研究教学研究课题报告.docx
- 小学数学图形教学对学生空间想象力的激发策略教学研究课题报告.docx
- 初中历史教育中文化认同与文化自信的培育实践探讨教学研究课题报告.docx
文档评论(0)