计组-微程序控制器设计报告.docxVIP

  1. 1、本文档共10页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
第 PAGE \* Arabic 3页/共 NUMPAGES 10页 实验10 微程序控制器设计实验报告 姓名: XXX 学号: XXX 专业: 计算机科学与技术 课程名称: 计算机组成 同组学生姓名: 无 实验时间: 实验地点: 指导老师: XXX 实验目的和要求 掌握微程序控制原理 掌握微程序控制器的设计方法 二、实验内容和原理 实验内容: 设计一个微程序控制单元并进行仿真 实验原理: 1.微程序的原理: 在多时钟CPU设计的实验中,所有的控制信号均根据操作码以及所处的时钟周期,由组合逻辑的方式产生各个状态下的控制信号。 微程序是将CPU每条指令和所有时钟的控制信号,预先以二进制形式写在控制存储器中。 多时钟周期的每个状态都对应一组控制信号。 每条指令都有若干个状态对应若干组控制信号。 微指令按一定顺序存放在控制存储器中。 工作原理图 微程序控制逻辑图 微指令及其控制码 控制存储器内容 三、主要仪器设备 Spartan-III开发板 1套 装有ISE的PC机 1台 四、操作方法与实验步骤 实验步骤: 创建新的工程和新的源文件 编写verilog代码(包括以下模块top,MicroCtrl,pbdebounce,time_1ms) 对程序进行波形仿真 编写UCF引脚文件,通过编译。 5.. 生成FPGA代码,下载到实验板上并调试,看是否与实现了预期功能 实验代码: Top.v module top( input system_clk, input clk_i, input rst_i, output [3:0] anode4, output [7:0] segment4, output reg [7:0] led ); wire clk; wire rst; reg [2:0] pc; reg [5:0] opcode; wire [17:0] rom_out; pbdebounce p0(system_clk, clk_i, clk); pbdebounce p1(system_clk, rst_i, rst); initial begin pc=0; end always @ (posedge clk or posedge rst) begin if (rst==1) pc=0; else if (rom_out[1:0]==0) pc=pc+1; end always @ * begin case (pc) 0: opcode = 6b000000; //R-type 1: opcode = 6b100011; //LW 2: opcode = 6b101011; //SW 3: opcode = 6b000100; //BEQ 4: opcode = 6b000010; //J default: opcode = 6b000000; endcase end MicroCtrl MicroCtrl(clk, rst, opcode, rom_out); display display(system_clk, rom_out[17:2], anode4, segment4); always @ * begin led[1:0] = rom_out[1:0]; led[2]=0; case (pc) 0: led[7:3] = 5b10000; //R-type 1: led[7:3] = 5b01000; //LW 2: led[7:3] = 5b00100; //SW 3: led[7:3] = 5b00010; //BEQ 4: led[7:3] = 5b00001; //J default: led[7:3] = 5b11111; endcase end endmodule MircoCtrl.v module MicroCtrl( input clk, input rst, input [5:0] opcode, output reg [17:0] rom_out ); reg [3:0] mpc; initial begin mpc=0; end always @ * begin case (mpc) 0: rom_out={16h0851,2b11}; 1: rom_out={16h1800,2b01}; 2: rom_out={16h3000,2b10}; 3: rom_out={16h00C0,2b11}; 4: rom_out={16h0300,2b00}; 5: rom_out={16h00A0,2b00}; 6: rom_out={16hA000,2b

您可能关注的文档

文档评论(0)

177****7891 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档