- 1、本文档共7页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
实验三:3-8译码器的设计
一、实验目的
1、学习Quartus II 7.2软件设计平台。
2、了解EDA的设计过程。
3、通过实例,学习和掌握Quartus II 7.2平台下的文本输入法。
4、学习和掌握3-8译码器的工作和设计原理。
5、初步掌握该实验的软件仿真过程。
二、实验仪器
PC机,操作系统为Windows7/XP,本课程所用系统均为WindowsXP(下同),Quartus II 7.2设计平台。
实验步骤
创建工程,在File菜单中选择New Project Wizard,弹出对话框 如下图所示
在这个窗口中第一行为工程保存路径,第二行为工程名,第三行为顶层文件实体名,和工程名一样。
2、新建设计文本文件,在file中选择new,出现如下对话框:
选择VHDL File 点击OK。
3、文本输入,在文本中输入如下程序代码:
library ieee;
use ieee.std_logic_1164.all;
entity variable_decoder is
port(A:in STD_LOGIC;
B:in STD_LOGIC;
C:in STD_LOGIC;
Y:out STD_LOGIC_VECTOR(7 downto 0));
end variable_decoder;
architecture rtl of variable_decoder is
begin
process(A,B,C)
variable COMB:std_logic_vector(2 downto 0);
begin
COMB:=CBA;
case COMB is
when 000=Y
when 001=Y
when 010=Y
when 011=Y
when 100=Y
when 101=Y
when 110=Y
when 111=Y
when others=Y=XXXXXXXX;
end case;
end process;
end rtl;
然后保存到工程中,结果如下图所示:
4、编译,如果有多个文件要把这个文件设为当前顶层实体,这样软件编译时就只编译这个文件。点击 projet-Set as Top-level EntityCtrl+Shift+J
接下来进行编译,点击processing-Start Compilation ,见下图
5、仿真验证,打开波形编辑器,新建一个波形仿真文件,如下图:
然后选择菜单“View”→ “Utility”→“Node Finder”出现如下对话框,在“Filter”中选择“Pins:all”,再点击“List”即在下边的“Node Found”框中出现本设计项目中所有端口引脚列表,并逐个拖到波形编辑器的窗口中。
接下来编辑输入信号波形,然后将编辑好的信号波形保存,点击波形仿真,仿真结果如下图所示:
6.选择菜单“Tools”→ “Netlist Viewers”→“RTL viewer”得到如下电路图:
7、引脚分配
(1)选择菜单“Assignments”→ “Pins”命令,在分配编辑器的“Category”列表→“Location pin”,出现如图引脚分配界面:
(2)引脚锁定的器件平面视图:
小结
通过这次上机,在quartus软件平台上对3-8译码器代码实现的操作,我们了解了3-8译码器的原理及VHDL语言的特点,对顺序语句运用更加熟练。对报错情况更加了解,能较快的找出并改正。
您可能关注的文档
- 3第三章城市生态规划.ppt
- 3简支板桥的构造与设计.ppt
- 3网吧管理系统详细设计说明方案.doc
- 41混合结构课程设计.doc
- 4300宽厚板轧机压下规程设计及辊系设计说明方案.doc
- 460万吨常减压蒸馏课程设计含设计图装置图车间分布图.doc
- 48万吨甲醇生产厂设计方案.doc
- 4S店安保工作资料.doc
- 4S店活动亲自活动资料.pptx
- 4S店自驾游活动资料.doc
- 2024至2030年中国H型翅片管数据监测研究报告.docx
- 2024年晶体糖精项目可行性研究报告.docx
- 2024年04月山东东营河口区引进急需紧缺卫生专业技术人才25人笔试历年典型考点解题思路附带答案详解.docx
- 2024年04月云南昆明市晋宁区司法局编外人员招考聘用4人笔试历年典型考点解题思路附带答案详解.docx
- 2024年04月山西晋中市昔阳县高学历人才引进40人笔试历年典型考点解题思路附带答案详解.docx
- 2024年中国无水碳酸钾市场调查研究报告.docx
- 2024至2030年耐温聚醚项目投资价值分析报告.docx
- 2024至2030年中国液体定量灌装系统行业投资前景及策略咨询研究报告.docx
- 2024至2030年中国罗汉果润喉糖行业投资前景及策略咨询研究报告.docx
- 2024年中国风管浇注料市场调查研究报告.docx
最近下载
- 马工程《人力资源管理》章节知识点-核心要点.doc
- 金相检验-变形铝合金的相鉴别.pptx VIP
- NB_T 47015-2023 压力容器焊接规程.pdf
- 统编版(2024新版)一年级上册道德与法治第一、二单元1-8课 共8课时教学设计.docx
- 数据资产管理平台用户使用手册说明书.pdf VIP
- 林业有害生物发生及成灾标准-森林病虫害防治.doc VIP
- 22J403-1楼梯栏杆栏板.pptx VIP
- 2023年中国电信解决方案支撑经理认证考试题库大全-上(单选题汇总).pdf VIP
- NB∕T 10179-2019 -煤矿在用高压开关设备电气试验规范.pdf
- 培训课件-糖尿病肾病腹膜透析.ppt
文档评论(0)