3.3 VHDL语言要素课件.ppt

  1. 1、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
3.3 VHDL语言要素课件

3.3 VHDL语言要素;3.3.1 VHDL文字规则;1、数字型文字 整数 常规表示: 5,678,1254,23_467_154 科学记数法表示: 5E1,678E2(67800) ; 数基表示法 ;…… signal d1,d2,d3,d4,d5:integer range 0 to 255; d1〈=10#170#; --十进制表示,等于170 d2〈=16#FE# ; -- 十六进制表示,等于254 d3〈= 2#1111_1110#; -- 二进制表示,等于254 d4 〈= 8#376# ; -- 八进制表示,等于254 d5 〈= 16#E#E1 ; --十六进制表示,等于224 …….;2. 字符/字符串型文字;3. 标识符; VHDL的短标识符是遵守以下规则的字符序列:;扩展标识符;4. 下标/ 段名;signal a,b:std_logic_vector(3 downto 0); signal i:integer range 0 to 3; signal y,z:std_logic; y=a(m); z==b(2);;3.3.2 VHDL的数据对象;VARIABLE A,B :BIT; VARIABLE C:STD_LOGIC ; VARIABLE D:INTEGER RANGER 0 T0 15; VARIABLE E:STD_LOGIC_VECTOR(4 DOWNTO 0):=“0110”;;共享变量(全局变量)----VHDL’93 SHARED VARIABLE 共享变量名 :数据类型:=初始值;;;语法(定义、赋值);说明: 1.信号允许有多个驱动源. 2.同一进程中,同一信号可以被多次赋值,但仅有最后一条赋值 指令被启动(有效).;信号举例;执行结果为: ;执行结果为: ;三、常量(CONSTANT);常数的定义格式为:Constant 常数名:数据类型 :=表达式; ;四、信号、变量、常数对比;4、适用范围;3.3.3 数据类型;雁部逻晕尔今颠描又诉辽隐窍忧沃魄澡峻床派航或秘锯猪婪颊智穴铁涡藤3.3 VHDL语言要素课件3.3 VHDL语言要素课件; 存取类型 存取类型类似于C语言中的指针类型,它被用来在对象之间建立联系,或者给新对象分配或释放存储空间。在IEEE std_1076的程序包TEXTIO 中,有1个预定义的存取类型Line (系统工程师也可以定义自己的存取类型); TYPE line IS ACCESS string; 这表示类型为line 的变量是指向字符串值的指针。只有变量才可以是存取类型,例如: VARIABLE line_buffer : line ;; 文件类型 ??文件类型用于在主系统环境中定义代表文件的对象,文件对象的值是主系统文件中值的序列。 ??在IEEE Std_1076的程序包TEXTIO中,有1个预定义的文件类型Text (系统工程师也可以定义自己的文件类型): TYPE Text IS FILE OF string; --TEXTIO程序包中预定义的文件类型 TYPEinput_type IS FILE OF character ; --系统工程师自定义的文件类型;数据类型:;VHDL的预定义数据类型;1.布尔(BOOLEAN)数据类型;2. 位(BIT)数据类型;3.字符(CHARACTER)数据类型;4.标准逻辑型(Std_Logic ) ; 可以看出,这个“标准逻辑”信号定义,比“位即bit”信号对于数字逻辑电路的逻辑特性描述更完整、更真实。所以在VHDL的程序里,对于逻辑信号的定义,通常都是采用这个“标准逻辑”信号形式。;5.标准逻辑数组类型(Std_Logic_vector) ;二、 数值数据类型;有符号类型数据代表有符号数值,即可以是正数,0,负数;编 译器将有符号数类型作为一个补码的二进制数,最左边的位为 符号位。;library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity data is port(a,b: in unsigned(3 downto 0); --相应改为a,b: in signed(3

文档评论(0)

baa89089 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档