- 1、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
顺序延时关断三盏灯开关
设计题目: 顺序延时关断三盏灯开关 院 系: 电子信息与电气工程系 学生姓名: 学 号: 200902070006 专业班级: 09电子信息工程(专升本) 2010年10月04日 顺序延时关断三盏灯开关 1. 设计背景和设计方案 设计一个开关装置,该开关装置在第一次按下按钮k时,三盏灯x、y和z同时点亮;当再次按下按钮k是,x灯立刻熄灭;y灯5s后熄灭,在y灯熄灭8s后,z灯熄灭。 1.1设计原理框图与状态图 由设计要求,该数字系统的原理框图如图—1所示。按下按钮k是,输出低电平。 图—1 顺数延时关断三盏灯开关的原理框图 根据功能要求,可以画出如图—2所示的状态图。 图—2 顺数延时关断三盏灯开关的状态图 1.2设计方法 (1) 图形输入法:使用图形方式输入数字系统,底层和顶层模块都用图形输入; (2) 硬件描述语言输入法:使用硬件描述语言VHDL或Verilog HDL输入数字系统进行设计的方法,底层和顶层模块都使用硬件描述语言进行描述; (3) 混合输入法:使用硬件描述语言输入底层模块,使用图形输入顶层模块。 2. 方案实施 本设计课题使用混合输入法设计该数字系统。 根据系统要求,该系统分为状态机、5s计数器、8s计数器和译码器4个模块。 2.1状态机设计 (1) 用VHDL描述状态机 首先启动软件,然后创建新文件,根据图—2所示的状态图,在文本编辑窗口输入状态机的VHDL语言描述,如下所示。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity state is port(td5,td8,clk,k:in std_logic; x,y,z,t5,t8:out std_logic); end; architecture state_arch of state is type zt_type is (z0,z1,z2,z3,z4); signal zt_now,zt_next:zt_type; begin n1:process(clk) begin if clkevent and clk=1 then zt_now=zt_next; end if; end process; n2:process(td5,td8,k,zt_now) begin case zt_now is when z0= x=0;y=0;z=0;t5=0;t8=0; if k=0 then zt_next=z1; else zt_next=z0; end if; when z1= x=1;y=1;z=1;t5=0;t8=0; if k=1 then zt_next=z2; else zt_next=z1; end if; when z2= x=1;y=1;z=1;t5=0;t8=0; if k=0 then zt_next=z3; else zt_next=z2; end if; when z3= x=0;y=1;z=1;t5=1;t8=0; if td5=1 then zt_next=z4; else zt_next=z3; end if; when z4= x=0;y=0;z=1;t5=0;t8=1; if td8=1 then zt_next=z0; else zt_next=z4; end if; when others=x=0;y=0;z=0;t5=0;t8=0;zt_next=z0; end case; end process; end state_arch; 程序运行结束后生成状态机模块,且此状态机的功能完全满足实验的具体要求。 程序运行正确后,运用调用功能生成调用模块,以便在顶层设计时调用。 仿真结果如图—3所示 图—3 状态机的仿真结果 可以看出,在输入信号k的作用之下,状态开始从状态0转换到状态3;随后td5的高电平使状态传换到4;td8信号使状态机从状态4返回到状态0。在状态0,输出x、y和z都是低电平(表示三盏灯灭);在状态1和2,输出x、y和z都是高电平(表示三盏灯亮);在状态3,x灯灭;在状态4,x、y灯灭;最后,返回状态0,三盏灯同时灭。 2.2 5s减法计数器模块设计 5s减法计数器用于5s定时,设计方法与
您可能关注的文档
- 音响原理与维修培训.ppt
- 项目6 NFS服务器.ppt
- 项目3.多园区网络构建.ppt
- 项目7 私有网络接入Internet.ppt
- 项目4-配置与管理samb服务器.ppt
- 音响师培训讲义.ppt
- 项目8 螺纹画法.ppt
- 项目7 汽油机燃料供给系统.ppt
- 项目8-配置与管理WEB服务器.ppt
- 韩国燃料技术公司(中文).ppt
- 江苏省镇江市丹徒区2024-2025学年八年级上学期1月期末物理试题.docx
- 江苏省镇江市丹徒区2024-2025学年八年级上学期1月期末英语试题.docx
- 江苏省镇江市丹徒区2024-2025学年九年级上学期1月期末道德与法治试题.docx
- 江苏省镇江市丹徒区2024-2025学年九年级上学期1月期末考试数学试题.docx
- 2025《雪花啤酒的营销环境分析案例》5100字.docx
- 2025年11月22日吉林省白山高层次和急需人才面试真题及答案解析(新媒体初级技术岗位).doc
- 2025《徐州市R房地产项目营销策略研究》24000字.docx
- 医院开工第一课安全生产课件.ppt
- 2025《我国南部酸雨区大气降水物质来源及降水酸化分析案例》14000字.docx
- 2025《太古糖业企业内部控制问题及优化方案》11000字.doc
最近下载
- 2024党支部工作制度汇编(范本).docx VIP
- 高架桥道路施工组织设计方案.pdf VIP
- HJ84-2016《水质无机阴离子(F-、Cl-、SO42-)的测定离子色谱法测定方法确认-备份.pdf VIP
- 简约PPT模板红色简约风感动中国十大人物介绍.pptx VIP
- 西安工程大学821空气调节考研真题试题2016—2020年.pdf VIP
- “东来顺”与“涮羊肉”.doc VIP
- 微波技术基础-(廖承恩-著)-西安电子科技大学出版社-课后答案.pdf VIP
- 室内装饰用PVC膜标准 T/ZZB 1780-2020.pdf VIP
- 二次函数新定义型综合问题(四大题型)(学生版)-2025年中考数学.pdf VIP
- 云南省市政基础设施工程施工质量验收统一规程DBJ53T-36-2011.pdf VIP
有哪些信誉好的足球投注网站
文档评论(0)