- 1、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
verilog实现串并与并串转换
Abstract在實務上常常需要將parallel轉成serial,然後再將serial轉成parallel,本文討論如何實現這些功能。Introduction使用環境:NC-Verilog 5.4 + Debussy 5.4 v9 + Quartus II 7.2很多介面都採用serial傳輸,如I2C、LVDS、mini-LVDS…等,在寫入時必須將parallel資料轉成serial,讀出時又得將serial轉成parallel,所以是個常用的電路,其原理就是使用shift register來達成,本文將一一討論parallel轉serial,serial轉parallel,也順便討論parallel轉parallel與serial轉serial。並進串出 (Parallel In Serial Out)當load為1且clk rising edge時,parallel data載入至register當中,當load為0且clk rising edge時,register資料依序往前遞移,在最後一個register將資料送出。p2s.v / Verilog1?/*?2?(C) OOMusou 2009 3?4?Filename??? : p2s.v5?Simulator?? : NC-Verilog 5.4 + Debussy 5.4 v96?Synthesizer : Quartus II 7.27?Description : parallel in serial out rtl8?Release???? : Oct/24/2009 1.09?*/10?11?module p2s (12?? clk,13?? rst_n,14?? load,15?? pi,16?? so17?);18?19?input clk;20?input rst_n;21?input load;22?input [3:0] pi;23?output so;24?25?reg [3:0] r;26?27?always@(posedge clk or?negedge rst_n) 28?? if (~rst_n)29???? r =?4h0;30?? else?if (load)31???? r = pi;32?? else33???? r = {r, 1b0};34???? 35?assign so = r[3];36???? 37?endmodule33行r = {r, 1b0};用Verilog實現shift register有很多種方式,但以33行這種方式最精簡,其他coding style可參考(筆記) 如何將值delay n個clock? (SOC) (Verilog)。Testbenchp2s_tb.v / Verilog 1?/*?2?(C) OOMusou 2009 3?4?Filename??? : p2s_tb.v5?Simulator?? : NC-Verilog 5.4 + Debussy 5.4 v96?Description : parallel in serial out testbench7?Release???? : Oct/24/2009 1.08?*/9?10?`timescale 1ns/1ns11?`include?p2s.v12?13?module p2s_tb;14?15?reg clk;16?reg rst_n;17?reg load;18?reg [3:0] pi;19?wire so;20?21?initial?begin22?? load =?1b0;23?? pi =?4h0;24?? #10;25?? load =?1b1;26?? pi =?4b1010;27?? #20;28?? load =?1b0;29?? pi =?4h0;30?end31?32?initial clk =?1b0;33?always #10 clk =?~clk;34?35?initial?begin36?? rst_n =?1b0;37?? #5;38?? rst_n =?1b1;39?end40?41?initial?begin42?? $fsdbDumpfile(p2s.fsdb);43?? $fsdbDumpvars(0, p2s_tb);44?? #150;45?? $finish;46?end47?48?p2s p2s_0 (49?? .clk(clk),50?? .rst_n(rst_n),51?? .load(load),52?? .pi(pi),53?? .so(so)54?);55?56?endmodule模擬結果? 串進並出 (Serial In Parallel Out)?serial
您可能关注的文档
最近下载
- 皮肤念珠菌病念珠菌病-洞察与解读.pptx
- 荨麻疹型药疹药疹-洞察与解读.pptx
- DB5305T 78-2022保山市牛油果园水肥一体化技术规程.docx VIP
- DB53_T 1089-2022鳄梨品种'哈斯'生产技术规程.docx VIP
- DB5308T 60—2022鳄梨(牛油果)生产技术规程.docx VIP
- T_YNRZ 016-2023 油梨(牛油果)育苗技术规程.docx VIP
- 酸化茶园炭基土壤改良剂施用技术规程.pdf VIP
- 化脓性腱鞘炎腱鞘炎-洞察与解读.pptx
- 礼赞新中国奋进新时代课件-以优异成绩喜迎中华人民共和国成立73周年专题课件.pptx VIP
- DB3502T 140-2024牛油果栽培技术规程.docx VIP
文档评论(0)