- 1、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
《多功能数字钟_
Xilinx FPGA实验报告 ——多功能数字钟 多功能数字钟 设计内容及要求 设计一个电子时钟。 要求可以显示时、分、秒。小时为24翻1。 用户可以设置时间,即校时。分快校时、手动校时两种 具有可以设任意时刻闹的闹钟功能。 (扩展一) 具有仿电台报时功能:接近整点时的51、53、55、57秒响低音;59秒响高音。(扩展一) 具有自动报整点时数功能。(扩展二) 具有手动报整点时数功能。(扩展二) 具有LCD显示的功能。 具有万年历的功能,能根据日期查询到当天是星期几。 实验条件说明(包括实验板、芯片资源的介绍) 实验板: Spartan3E XC3S500E 芯片资源: ?S3E实验平台性能与特点? XILINX?XC3S500E?Spartan-3E?FPGA:提供了最多232个I/O引脚和10000个逻辑单元。 ?XILINX?4Mbit?Flash配置PROM。? ?XILINX?XC2C64A?CoolRunner系列CPLD:提供用户使用或辅助FPGA配置。? 64MByte、16位数据宽度、100MHz的DDR?SDRAM接口。? 16MByte?并行INTEL公司的?NOR?FLASH:可存储FPGA配置信息或MicroBlaze指令序列。? ?16Mbits?ST半导体的SPI?串行FLASH:可存储FPGA配置信息或MicroBlaze指令序列。 ??2行,每行可显示16个字符的LCD:用来显示FPGA输出信息。 ??PS/2接口:用来外联键盘或鼠标,扩展输入设备? ?VGA接口:可显示64种颜色。? ?10/100M以太网接口:提供了以太网物理层接口,便于MAC层IP的验证。 ??两个标准RS232接口:可方便连接PC和其他工业设备进行数据传输。? ?USB的下载接口配置接口。? ?板载50MHz晶体振荡器。? 4输出基于SPI接口的数模转换器。? ?2输入基于SPI接口、带可编程增益放大的模数转换器。? ?ChipScope在线调试接口:可提供在线调试信号功能。? ?4个拨码开关、1个旋钮、4个按键:可作为通用逻辑输入。 ?8盏LED:可用来显示FPGA的输出信息。 ??提供8脚DIP封装的辅助时钟输入? 提供了标准外扩接口,供用户灵活添加使用。 系统设计 系统框图与说明 电路的框图如上所示,整个工程完成了实验要求中给出的基本功能和附加功能,由于没有蜂鸣器,故用不同led灯的闪亮表示正点报时和整点报时。计时模块和闹钟模块的校时和万年历的调整年月日的模块分别有两种:自动校时和手动校时。每种校时又分为几种类型,由几个不同的按钮来完成。LCD显示电路显示的内容包括年、月、日、时、分、秒、星期、闹铃的时、分等。在闹钟设定状态设定闹钟的时间;在校时状态完成校时功能;在计时状态,不仅要计时,还要进行整点报时,正点报时,闹铃响动等功能。对于万年历模块,改变年、月、日时,星期都会对应的改变。 状态转换图 两个开关K1,K2共同控制整个电路的状态。 输入输出设计(按键,数码管,发光管,蜂鸣器) 输入:四个按键和四个开关 输出:LCD显示和LED灯显示以及蜂鸣器 测试平台设计(testbench) 6进制计数器仿真设计(仿真代码) LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY test IS END test; ARCHITECTURE behavior OF test IS COMPONENT counter6 PORT( clock : IN std_logic; clear : IN std_logic; co : OUT std_logic; result : OUT std_logic_vector(3 downto 0) ); END COMPONENT; signal clock : std_logic := 0; signal clear : std_logic := 0; signal co : std_logic; signal result : std_logic_vector(3 downto 0); constant clock_period : time := 10 ns; BEGIN uut: counter6 PORT MAP ( clock = clock, clear = clear, co = co, res
您可能关注的文档
最近下载
- 初中历史:八年级上册(人教版)中国历史知识点总结(25页).pdf VIP
- 社会服务项目运作实务(第二版) 课件全套 第1--7章 社会服务项目概述---社会服务优秀案例和项目.pptx
- 家政服务培训家庭烹饪.pptx VIP
- 2025年《信访工作条例》知识竞赛题库及答案 .pdf VIP
- TCHSA-010-2023-恒牙拔除术临床操作规范.pdf VIP
- 一年级语文上册四单元学历案.pdf VIP
- 船舶概论 第三章船舶静力学课件.ppt VIP
- 安捷伦7890B-5977B气相色谱质谱仪操作规程20180802.docx VIP
- 《水浒传》名著阅读资料.docx VIP
- 《农业废弃物利用》课件.ppt VIP
文档评论(0)