EDA综合实验——正弦信号发生器-张炘.docVIP

EDA综合实验——正弦信号发生器-张炘.doc

  1. 1、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
EDA综合实验——正弦信号发生器-张炘

学生实验报告 系别 电子信息学院 课程名称 《EDA综合实验》 班级 10通信A班 实验名称 正弦信号发生器 姓名 张炘 实验时间 2012年  10月 30 日 学号 2010010101073 指导教师 王红航 报 告 内 容 一、实验目的和任务 本次实验的任务是设计一个正弦信号发生器,并且熟悉Quartus II 软件中软件功能自模块设计的使用。 以软件中自带的计数器模块、ROM模块设计正弦信号发生器。 二、实验原理介绍 本次是利用fpga芯片设计一个正弦信号发生器,利用ROM模块把正弦信号的每时刻对应的幅度数值填入其中,然后用一个八位计数器与ROM级联,然后把其中的数据读出,数据进入DA数模转换器中实现正弦信号的发生。 三、设计代码(或原理图)、仿真波形及分析 以上为ROM中的数据 系统中ROM的程序如下: module ROM ( address, clock, q); input [5:0] address; input clock; output [7:0] q; `ifndef ALTERA_RESERVED_QIS // synopsys translate_off `endif tri1 clock; `ifndef ALTERA_RESERVED_QIS // synopsys translate_on `endif wire [7:0] sub_wire0; wire [7:0] q = sub_wire0[7:0]; altsyncram altsyncram_component ( .address_a (address), .clock0 (clock), .q_a (sub_wire0), .aclr0 (1b0), .aclr1 (1b0), .address_b (1b1), .addressstall_a (1b0), .addressstall_b (1b0), .byteena_a (1b1), .byteena_b (1b1), .clock1 (1b1), .clocken0 (1b1), .clocken1 (1b1), .clocken2 (1b1), .clocken3 (1b1), .data_a ({8{1b1}}), .data_b (1b1), .eccstatus (), .q_b (), .rden_a (1b1), .rden_b (1b1), .wren_a (1b0), .wren_b (1b0)); defparam altsyncram_component.clock_enable_input_a = BYPASS, altsyncram_component.clock_enable_output_a = BYPASS, altsyncram_component.init_file = ROM.mif, altsyncram_component.intended_device_family = Cyclone II, altsyncram_component.lpm_hint = ENABLE_RUNTIME_MOD=NO, altsyncram_component.lpm_type = altsyncram, altsyncram_component.numwords_a = 64, altsyncram_component.operation_mode = ROM, altsyncram_component.outdata_aclr_a = NONE, altsyncram_component.outdata_reg_a = UNREGISTERED, altsyncram_component.widthad_a = 6, altsyncram_component.width_a = 8, altsyncram_component.width_byteena_a = 1; endmodule 得到ROM模块图形 以下是计数器的程序如下: module COUNT ( clock, q); input clock; output [5:0] q; wire [5:0] sub_wire0; wire [5:0] q = sub_wire0[5:0]; lpm_counter LPM_COUN

文档评论(0)

80219pm + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档