EDA第11讲.pptVIP

  1. 1、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
二、谐波与音色:n×ωO 称为ωO 的高次谐波分量,也称为泛音。音色是由混入基音的泛音所决定的,高次谐波越丰富,音色就越有明亮感和穿透力。不同的谐波具有不同的幅值An 和相位偏移ψn ,由此产生各种音色效果。 补充:不同的发声体其声音的品质(音色)是不同的,所以尽管发声物体的响度、音调都一样,但我们还是能够把它们区分开来,就是因为音色不同的缘故。 三、幅度与响度: 由于振动物体(振源)的振幅不同,故不同的发声体其发声的响度也就不同,振动幅度大的,响度就大,反之则小。 响度:指声音能量的大小,具体说:在单位时间内通过与声波传播方向相垂直的单位面积上的能量,常用分贝数来表示“声强级”,如 60 分贝等。如震耳欲聋的声音常常超过 120 分贝。战场上由于大炮、炸弹等声音太强,往往声波把人的耳膜打穿。 声波能量的大小主要取决于声波的“振幅”,与振幅的平方成正比。振幅越大,声音越响。 音调:取决于声波的“频率”。频率越高,音调越高,即声音越“尖”。音调高,不一定声音响度越大。如打雷声音很响,震耳欲聋,说明能量很大,但听起来音调不怎么高,但是女高音的演唱声音,虽然没有打雷那么响亮,但声音非常尖,甚至有时刺耳。响度和音调是两个不同的概念,可以独立地影响声音效果。 四、音宽与频带: 频带宽度或称为带宽,它是描述组成复合信号的频率范围。 通常用来表示为保证某种发射信息的速率和质量所需占用的频带宽度容许值,以赫(Hz)、千赫(KHz)、兆赫(MHz)表示。 乐音:有规则的让人愉悦的声音。噪音:从物理学的角度看,由发声体作无规则振动时发出的声音;从环境保护角度看,凡是干扰人们正常工作、学习和休息的声音,以及对人们要听的声音起干扰作用的声音。 系统原理: 音乐是由高低长短相同或不同的音符,按一定的规律组织起来的。每个音符都包括两个要素:第一是音调,即音符的频率,频率越高音调越高,频率越低音调越低;第二是节拍,即音符的时间长短。 不同的音符对应的频率不同,用计数器分频可以产生与各音符对应频率的方波;四四节拍对应的延时时间0.25S,即每秒4HZ,全音符为1S。 待续 电子工程学院 S-213 1、普通加法计数器设计 2、分频器 3、分频器的应用电路—硬件电子琴电路 一、意义: 分频器是数字电路中最常用的电路之一,在FPGA的设计中也是使用效率非常高的基本设计。基于FPGA实现的分频电路一般有两种方法:一是使用FPGA芯片内部提供的锁相环电路,如ALTERA提供的PLL(Phase Locked Loop),Xilinx提供的DLL(Delay Locked Loop);二是使用硬件描述语言,如VHDL、Verilog HDL等。使用锁相环电路有许多优点,如可以实现倍频;相位偏移;占空比可调等。但FPGA提供的锁相环个数极为有限,不能满足使用要求。因此使用硬件描述语言实现分频电路经常使用在数字电路设计中,消耗不多的逻辑单元就可以实现对时钟的操作,具有成本低、可编程等优点。 1.2、计数器 (计满数,复位设计) 计数器是实现分频电路的基础,计数器有普通计数器和约翰逊计数器两种。这两种计数器均可应用在分频电路中。 1.3 普通计数器 最普通的计数器是加法(或减法)计数器。 例1,普通 加法计数器: 带复位功能的加法计数器 举个例子说明复位重要性P76 巴西 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity ripple is generic (width: integer := 4); port(clk, rst: in std_logic; cnt: out std_logic_vector(width - 1 downto 0)); end ripple; architecture a of ripple is signal cntQ: std_logic_vector(width - 1 downto 0); begin process(clk, rst) begin if (clkevent and clk = 1) then cntQ = cntQ + 1; end if; end process; cnt = cntQ; end a; 1.3约翰逊计数器 约翰逊计数器是一种移位计数器,采用的是把输出的最高位取非,然后反馈送到最低位触发器的输入端。约翰逊计数器在每个时钟下只有一个输出发生变化。 显然约翰逊计数器没有有效利用寄存器的所有状态假设初值为

文档评论(0)

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档