VHDL讲义第九章_有限状态机设计.pptVIP

  1. 1、本文档共12页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
________________________________________________________________________________________________________________________________________________________ VHDL语言设计技术——第九章 有限状态机设计 * 第九章 有限状态机设计 在时序逻辑电路中及各种触发器中都涉及到状态机的设计,在状态机中有现态和次态输出,次态和输出由相关的转移条件决定。 有限状态机有两类: 摩尔状态机(moore)和米利状态机(mealy) 摩尔状态机:状态机的输出只由现态决定,而与输入无关。 米利状态机:状态机的输出由现态和输入共同决定。 次态逻辑 状态 寄存器 输出逻辑 输入 时钟 次态 当前状态 输出 次态逻辑 状态 寄存器 输出逻辑 输入 时钟 次态 当前状态 输出 摩尔状态机结构图 米利状态机结构图 有限状态机的描述一般采用以下三种语句描述: 并行信号赋值语句 IF语句 CASE语句 具体描述时状态的时序转换可以用进程描述,而输出涉及到的组合逻辑用并行信号语句,也可以用进程语句。 Statmachine.vhd s0 s1 reset /0 1/1 0/1 摩尔状态机(moore)设计举例 library ieee; use ieee.std_logic_1164.all; entity statmachine is port(clk,input,reset: in std_logic; output: out std_logic); end entity; architecture a of statmachine is type state_type is (s0,s1); signal st: state_type; Begin process(clk) begin if(reset = 1) then st = s0; elsif(clkevent and clk=1) then case st is when s0 = st =s1; when s1 = if input = 1 then st =s0; else st =s1; end if; end case; end if; end process; output = 1 when st = s1 else 0; end a; 2. Moore1.vhd、 Moore2.vhd reset 3/00 state1 state0 其它/00 state2 其它/11 state3 其它/10 state4 其它/11 7/11 7/10 9/10 b/11 /10 摩尔状态机(moore)设计举例 Mealymachine.vhd Mealy1.vhd 米利状态机(mealy)设计举例 1. Mealymachine.vhd 米利状态机(mealy)设计举例 0/0 s0 s1 1/0 1/0 0/0 1/1 s2 0/1 s3 0/0 1/1 reset 3/10 state1 state0 其它/00 state2 其它/11 state3 其它/10 state4 其它/11 7/10 7/00 9/11 b/00 /11 2. Mealy1.vhd 米利状态机(mealy)设计举例 3. Traffic1.vhd reset S1=S2 st1 G1=1,R2=1 st0 G1=1,R2=1 其它 st2 G1=1,R2=1 st3 Y1=1,R2=1 st5 R1=1,G2=1 S1=0,s2=1 st4 R1=1,G2=1 其它 st6 R1=1,G2=1 st7 Y2=1,R1=1 S1=S2 S1=1,s2=0 摩尔状态机(moore)设计举例 摩尔状态机(moore)设计举例 4. Traffic2.vhd reset S1=0 st1 st0 st2 st3 S1=1 S2=1 S2=0 st0: N-S直行;st1: N-S左转弯; st2: W-E直行;st3: W-E左转弯 ________________________________________________________________________________________________________________________________

您可能关注的文档

文档评论(0)

血玲珑 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档